CONNECT WITH US

ASML, TSMC reach milestone in EUV productivity

Press release; Jessie Shen, DIGITIMES Asia 0

ASML has confirmed that Taiwan Semiconductor Manufacturing Company (TSMC) has successfully exposed more than 1000 wafers on an NXE:3300B EUV system in a single day, an important step towards insertion of EUV lithography in volume production of semico...

The article requires paid subscription. Subscribe Now