CONNECT WITH US
NEWS TAGGED ASML
Thursday 22 July 2021
ASML expects 35% revenue growth in 2021
ASML expects to post an around 35% revenue increase in 2021, with gross margin reaching between 51% and 52%.
Friday 2 July 2021
Micron to adopt EUV in DRAM manufacturing by 2024
Micron Technology has disclosed plans to start implementing extreme ultraviolet (EUV) technology in the manufacture of DRAM chips by 2024.
Monday 21 June 2021
TSMC to start equipment move-in at Arizona fab as early as mid-2022
Pure-play foundry TSMC is expected to kick off equipment move-in at its new advanced 5nm fab in the US state of Arizona as early as mid 2022, according to industry sources.
Wednesday 9 June 2021
Tokyo Electron to collaborate with imec-ASML joint high NA lab
Tokyo Electron has announced the company will introduce its leading-edge Coater/Developer to the imec-ASML joint high NA EUV research laboratory (joint high NA lab) in Veldhoven,...
Thursday 6 May 2021
ASML, Applied hiring talent in Taiwan
Semiconductor equipment vendors ASML and Applied Materials have been hiring talent for their local operations in Taiwan.
Tuesday 30 March 2021
Chipmaking equipment and materials suppliers looking to beef up operations in Taiwan
Japan-based semiconductor equipment vendor Tokyo Electron is reportedly eyeing the acquisition of a 6-inch wafer fab in Taiwan that has been put up on sale, while fellow companies...
Friday 12 March 2021
SMIC striving to regain chip orders
With the US trade restrictions on Semiconductor Manufacturing International (SMIC) reportedly easing, the China-based pure-play foundry is striving to regain orders particularly those...
Thursday 11 March 2021
ASML to hire more engineers in Taiwan
Semiconductor equipment vendor ASML has disclosed plans to recruit an additional 600 engineers in Taiwan this year.
Thursday 11 March 2021
Japan fab toolmaker eyeing Macronix 6-inch fab for acquisition
A Japan-based semiconductor equipment vendor is looking to acquire Macronix International's 6-inch wafer fab in Taiwan that has been put on sale, reportedly eyeing a closer tie with...
Thursday 4 March 2021
ASML extends deal to sell chipmaking equipment to SMIC
ASML has extended a deal to sell fab tools to China-based foundry Semiconductor Manufacturing International (SMIC) until the end of 2021, according to the Netherlands-based vendor.
Thursday 25 February 2021
SK Hynix strikes 5-year deal with ASML for EUV equipment supply
SK Hynix has signed a 5-year contract worth KRW4.75 trillion (US4.3 billion) with ASML to procure extreme ultraviolet (EUV) lithography systems, local media reports in South Korea...
Tuesday 26 January 2021
Gudeng to sustain strong shipments of EUV pods in 2021
Taiwan-based Gudeng Precision Industrial, a semiconductor front-end equipment manufacturer specializing in mask solutions and wafer handling tools, has expressed optimism that its...
Thursday 21 January 2021
EUV equipment to boost ASML sales in 2021
With TSMC and Samsung Electronics stepping up the development of their sub-5nm process technologies, ASML will continue to see strong extreme ultraviolet (EUV) lithography equipment...
Thursday 31 December 2020
Samsung, SK Hynix gearing up for EUV process manufacturing
Samsung Electronics has kicked off volume production of 16Gb LPDDR5 chips using EUV-based 1znm process technology at its Pyeongtaek fab, while SK Hynix plans to install EUV exposure...
Thursday 24 December 2020
Fab toolmaker Marketech sees orders swell
Fab toolmaker Marketech International has seen its backlog of orders reach a record NT$25.6 billion (US$908.8 million), according to industry sources.
COMPUTEX Microsite
Summary of Tech Supply Chain News!
Fuel cell is pivotal for Taiwan's hydrogen industry, according to DIGITIMES Research
Silicon shield doesn't guarantee military deterrence for Taiwan, says DIGITIMES Research
Taiwan IPC makers pushing integrated services to boost profits and to see revenues grow in 2024, says DIGITIMES Research