CONNECT WITH US

Imec showcases High-NA EUV breakthroughs as joint lab with ASML soon becomes operational

Misha Lu, DIGITIMES Asia, Taipei 0

Credit: Imec

Belgium-based semiconductor research institute Imec will present its latest achievements that enable high-numerical aperture (High-NA) extreme ultraviolet (EUV) lithography at the 2024 Advanced Lithography + Patterning Conference. According to Imec, it has made key achievements in EUV processes that include resist and underlayer development, mask enhancement, optical proximity correction development, at-resolution field stitching, reduction of stochastic failures, and improved metrology and inspection.

The deployment of anamorphic lenses in High-NA EUV lithography leads to field sizes half of the conventional scanner field size. Consequently, field stitching is needed to address the issue, making it a key enabler in High-NA. At the conference, Imec will share its insights enabling at-resolution stitching based on work done with ASML and mask shop partners on Imec's NXE: 3400C scanner.

When it comes to material and process, Imec will also present the advancements of metal-oxide resists (MORs) in terms of EUV dose-to-yield reduction. The R&D institute notes that its specifically selected underlayer, optimized development process, mask absorber of choice, mask bias, and mask tonality led to a more than 20% dose reduction for lines and spaces, without increased roughness or stochastic failures. The dose reduction didn't negatively impact tip-to-tip dimensions either. "The dose reduction work continues and is highly appreciated by our chip makers since it leads to EUV cost reduction due to the higher scanner throughput," indicates Imec.

Compared to using a positive tone chemically amplified resist (CAR) and a binary dark field mask, MOR resists used with a binary bright field mask for contact hole patterning led to a 6% dose reduction with a 30% local CD uniformity improvement after pattern transfer. A remaining concern of bright field masks for contact holes, according to Imec, is the mask quality and defectivity. Therefore, further investigation is needed and until then, positive tone CAR with dark field masks will be the leading candidates for contact and via patterning in High-NA EUV.

Finally, Imec will present new results on e-beam and deep-UV (DUV) inspection, showing that new best-known methods are in place to find High-NA-relevant stochastic patterning failures, such as hexagonal contact holes. It will also propose several machine learning techniques (based on denoising SEM micrographs) to facilitate small defect inspection and classification.

These EUV processes will be transferred into a joint Imec-ASML High-NA EUV Lab built around the first prototype High-NA EUV scanner.

"The first High-NA EUV scanner (TWINSCAN EXE:5000) has been assembled by ASML and the first wafers will be exposed soon. In the next few months, the joint Imec-ASML High-NA EUV Lab will be operational, and access will be provided to the High-NA customers," said Steven Scheer, senior vice president of Advanced Patterning, Process and Materials at Imec in a press release.

According to Scheer, the High-NA EUV lab enables an early start of High-NA EUV learning for the customers before tools become operational in their fabs. "It has been Imec's role, in tight collaboration with ASML and our extended supplier network, to ensure timely availability of advanced resist materials, photomasks, metrology techniques, imaging strategies, and patterning techniques," indicated Scheer.