CONNECT WITH US
NEWS TAGGED 2NM
Tuesday 18 July 2023
AMD unlikely to shift 3nm chip orders to Samsung, sources say
AMD is unlikely to transfer 3nm chip orders to Samsung Electronics, given that it has been working with TSMC on the technology for at least two years and that its relationship with...
Monday 3 July 2023
Samsung set to commercialize 2nm chips in 2025, 1.4nm by 2027
Samsung Electronics has disclosed its latest advanced process development roadmap at the just-ended 2023 Samsung Foundry Forum, with plans to mass produce 2nm chips by 2025 and commercialize...
Wednesday 28 June 2023
Samsung details plans for 2nm mass production
Samsung Electronics made several announcements during the recent annual Samsung Foundry Forum (SFF).
Tuesday 27 June 2023
TSMC in talks with potential 2nm customers, say sources
Despite the fact that unit wafer prices for TSMC's 2nm gate-all-around (GAA) process will approach US$25,000, the foundry is already in discussions with potential customers about...
Thursday 15 June 2023
3D DRAM to see clear development direction in next 2-3 years
Samsung Electronics and SK Hynix have revealed the latest development trends for advanced logic chips technology and memory products at recent technology symposiums, with the former...
Wednesday 14 June 2023
Japan's Rapidus to have 100 engineers in IBM facility by summer to acquire GAAFET technology
Japanese semiconductor champion Rapidus will have 100 engineers sent to IBM's research facility in the US to acquire knowhows in the gate-all-around (GAA) transistor technology key...
Monday 22 May 2023
Major customers confident about TSMC 2nm GAA process
Almost all of TSMC's top-10 customers have readied plans to adopt the foundry's 2nm GAA-FET (gate-all-around field-effect transistor) process technology, to be commercialized in 2025...
Wednesday 17 May 2023
Synopsys, TSMC team up to help clients accelerate 2nm chips designs
EDA specialist Synopsys has recently announced its collaboration with TSMC to deliver digital and custom design EDA flows on the foundry's most advanced N2 (2nm) process, aiming to...
Wednesday 17 May 2023
Japan's Rapidus shares views on TSMC competition and 2nm progress
Rapidus, Japan's newly created semiconductor champion that seeks to reach 2nm production by 2027, indicated that it has completed the preparatory work of its first EUV lithography...
Friday 12 May 2023
TSMC gearing up for sub-28nm capacity expansion overseas
TSMC disclosed at its annual technology symposium in Taiwan that its overseas sub-28nm process manufacturing capacity in 2024 will be multiple times that of 2020.
Monday 8 May 2023
Is it wishful thinking for Samsung to outpace Nvidia in AI server processors?
For the first time ever, Samsung Electronics recently has bluntly publicized that its own AI server processors will surpass Nvidia's, but industry observers said regarding its major...
Friday 28 April 2023
iST sees rising revenues in 1Q23
Integrated Service Technology (iST) reported consolidated revenues of NT$981 million (US$31.64 million) for the first quarter of 2023, the second-highest quarterly level ever, with...
Thursday 27 April 2023
TSMC talks about enhanced N3E and other 3nm variants
At its 2023 North America Technology Symposium, TSMC showcased progress in its 2nm technology and new 3nm process variants, including an improved N3E.
Tuesday 18 April 2023
Two new chipmaking industry clusters taking shape in Japan
Japan is attempting to revitalize its chipmaking sector and strengthen related industry supply chains. Its current focus is on logic ICs. As a result of this strategy, two new chipmaking...
Monday 10 April 2023
ASML applies for 2nm fab tool R&D subsidies in Taiwan, report says
ASML has filed to Taiwan's Ministry of Economic Affairs for R&D subsidies to fund the development and production of 2nm wafer optical measurement equipment, a report by Taiwan's...