CONNECT WITH US

Lam Research signals declining Chinese revenue, eyes growth in advanced manufacturing and packaging

Jingyue Hsiao, DIGITIMES Asia, Taipei 0

Credit: AFP

Following ASML's report of declining net bookings and anticipated lower revenue from China, Lam Research refrained from providing updated guidance for its fiscal year. The company noted that its Chinese revenue is expected to fall and identified advanced manufacturing and packaging as its primary growth drivers.

Lam Research has reported strong financial performance for the first quarter of fiscal 2025 (July 2024 to June 2025). The company generated revenue of US$4.17 billion, reflecting a 20% increase compared to the same period last year and surpassing Bloomberg's estimate of US$4.06 billion.

Lam Research's gross margin stood at 48.2%, slightly higher than the 47.9% recorded a year ago, while the adjusted operating margin improved by 0.8pp to 30.9% from 30.1% year over year. Capital expenditure for the quarter reached US$110.6 million, up from US$77.0 million year over year.

In terms of segment performance, Lam Research's systems revenue, which includes Foundry, Memory, and Logic/IDM, came in at US$2.39 billion, marking a 16% increase year over year but falling short of Bloomberg's estimate of US$2.45 billion. Customer support-related revenue and other categories saw a 25% year-over-year growth, reaching US$1.78 billion, surpassing the estimated US$1.6 billion.

For the second quarter of fiscal 2025, Lam Research forecasts revenue between US$4 billion and US$4.6 billion, with an estimated midpoint of US$4.22 billion. The company also anticipates an adjusted gross margin between 46% and 48%, in line with the estimate of 46.8%. Adjusted operating margin is expected to range from 29% to 31%, compared to the estimated 29.7%.

Tim Archer, CEO of Lam Research, stated that the company delivered financial performance ahead of expectations, driven by continued strong execution. Looking ahead, he emphasized that etch and deposition technologies are essential for enabling the next generation of semiconductors. Archer added that Lam's investments in key technology inflections position the company to outperform wafer fabrication equipment (WFE) growth in 2025 and beyond.

Additionally, Lam Research expects a recovery in NAND spending, driven by technology upgrades. The company said it holds strong positions in major industry inflections, including GAA, backside power delivery, advanced packaging, and dry EUV resist processing, all of which are poised to play a crucial role in advancing semiconductor manufacturing.

Declining Chinese sales

Lam Research expects domestic China WFE sales to decline in the second half of the year compared to the first half, with China's share of the company's overall revenue expected to normalize to around 30% in the fourth quarter of 2024.

For the first quarter of fiscal 2025, the China region accounted for 37% of Lam Research's revenue, slightly down from 39% in the prior quarter but stronger than anticipated. Most of the company's China revenue continues to come from domestic Chinese customers. As CEO Tim Archer noted, spending from this region is expected to decrease in the fourth quarter of 2024, likely dropping to about 30% of the total revenue.

Tim Archer also acknowledged that earlier comments about China having a decent year in 2025 still hold, as the company's strongest markets, such as NAND and emerging areas like advanced packaging, are expected to grow even more by then. Archer clarified that while China's contribution is expected to normalize, there hasn't been a dramatic change in their outlook over the last few months.

Meanwhile, senior executives at Lam Research also highlighted positive trends in leading-edge foundry, logic, DRAM, and specialty nodes outside of China, indicating growth in these areas.

Advanced manufacturing and packaging opportunities

Lam Research's outlook for the leading-edge foundry and logic segment in 2025 remains unchanged compared to 90 days ago, according to Archer. Despite some competitive dynamics in the industry, Archer noted that Lam's business is less affected by volume shifts and more driven by technological inflections. He highlighted the transition to GAA technology as a key growth opportunity for the company, enabling Lam to penetrate new areas such as selective etch and atomic layer deposition (ALD) tools.

According to Archer, the company's momentum in gate-all-around nodes with its selective etch tools is highlighted by recent successes and a significant win with a major foundry logic customer.

Archer also pointed to future advancements, including the introduction of backside power distribution and further use of advanced packaging in leading-edge logic foundry in 2025, which he sees as highly favorable for Lam. These technology transitions are expected to be particularly beneficial for companies with portfolios like Lam's, positioning the company well for continued growth in the segment.

Lam Research financial summary (US$m)

Financial

1QFY24

2QFY24

3QFY24

4QFY24

1QFY25

YoY for latest quarter

Sales

3,482

3,758

3,794

3,872

4,168

19.7

Gross profit

1,655

1,758

1,801

1,840

2,003

21.03

Operating income

1,023

1,057

1,057

1,127

1,264

23.58

Profit

887

954

966

1,020

1,116

25.81

Source: Lam Research, October 2024

Lam Research sales by segment (US$m)

Segment

1QFY24

2QFY24

3QFY24

4QFY24

1QFY25

YoY for latest quarter

System

2,057

2,299

2,396

2,170

2,393

16.34

Customer Support-Related & Other

1,425

1,459

1,398

1,702

1,775

24.54

Source: Lam Research, October 2024

Lam Research sales by region (US$m)

Region

1QFY24

2QFY24

3QFY24

4QFY24

1QFY25

YoY for latest quarter

China

1,687

1,493

1,607

1,507

1,542

-8.6

Korea

548

712

917

697

750

36.93

Taiwan

243

500

335

595

625

157.81

Southeast Asia

159

146

190

299

250

57.18

Japan

325

513

340

283

292

-10.09

Europe

239

176

177

115

208

-12.62

US

282

219

227

376

250

-11.38

Source: Lam Research, October 2024